티스토리 뷰

VHDL

4bit Carry Lookahead Adder (CLA)

그냥이 2010. 7. 3. 22:13

앞 가산기의 캐리출력을 다음 가산기의 캐리입력으로 사용하는
Ripple Adder는 가산기 횟수 만큼의 지연이 생깁니다.
그 지연을 없애기 위해서 캐리를 독립적으로 따로 계산하는
방법을 사용하는데... 그것이 CLA 입니다.

이 소스에서는..
- Carry Generate 와 Carry Propagate 를 구현한 컴포넌트
- Carry Lookahead 컴포넌트
- Sum 을 계산하는 컴포넌트

이렇게 3가지 컴포넌트를 써서 CLA를 구현합니다.

'VHDL' 카테고리의 다른 글

Carry Lookahead Adder  (0) 2010.07.03
[VHDL] Signal, Variable, Constant  (0) 2010.07.03
VHDL이란 무엇인가?  (0) 2010.06.22
최근에 올라온 글
최근에 달린 댓글
Total
Today
Yesterday
«   2024/04   »
1 2 3 4 5 6
7 8 9 10 11 12 13
14 15 16 17 18 19 20
21 22 23 24 25 26 27
28 29 30
글 보관함